Главная | Обратная связь | Поможем написать вашу работу!
МегаЛекции

Лабораторная работа № 8




Лабораторная работа № 7

ЦИФРОВЫЕ СЧЕТЧИКИ

 

Цель работы: синтез структуры счетчика и исследование функций счетчика с заданными параметрами.

 

1. МЕТОДИЧЕСКИЕ УКАЗАНИЯ

 

Цифровой счетчик - это конечный автомат (последовательная схема), который может находиться в любом из разрешенных устойчивых состояний. В цифровой технике используются двоичные счетчики, обеспечивающие в заданном типе кодирования подсчет входных сигналов, деление частоты их следования, формирование временных интервалов и т.д. В качестве элементов памяти в счетчиках используются триггеры. Двоичный N-разрядный счетчик может находиться в одном из 2nустойчивых состояний; каждому состоянию соответствует определенный код, записанный в счетчик.

Суммарное количество устойчивых состояний называется коэффициентом пересчета К.

Классифицировать цифровые счетчики можно по следующим признакам.

1. По наличию синхронизации:

- асинхронные счетчики;

- синхронные счетчики.

2. По способу соединения триггеров:

- счетчики на триггерах, работающих в режиме Т-триггера;

- счетчики на регистрах сдвига.

3. По способу формирования коэффициента пересчета К < 2n.

3.1. Счетчики на Т-триггерах с обратными связями:

- счетчик с записью начального кода;

- сброс счетчика в нуль по окончании цикла счета;

- параллельное соединение счетчиков;

- счетчики, работающие с заданным способом кодирования.

3.2. Счетчики на регистрах сдвига:

- кольцевые счетчики;

- последовательное соединение счетчиков;

- счетчики с логическими обратными связями.

4. По режиму счета:

- суммирующий счетчик;

- вычитающий счетчик;

- реверсивный счетчик.

5. По способу формирования переноса:

- с последовательным переносом;

- с параллельно-последовательным (сквозным) переносом;

- с параллельным (одновременным) переносом;

- с групповым переносом.

В цифровых счетчиках обычно используются двухступенчатые триггеры. Поэтому нет необходимости использовать элементы задержки, поскольку вторая ступень триггера переходит в новое состояние только после окончания действия входного импульса.

Существуют триггеры, срабатывающие как по переднему фронту входного сигнала, т.е. при изменении сигнала с нулевого значения на единичное, так и триггеры, срабатывающие по заднему фронту входного воздействия. Это обстоятельство ничего не меняет в принципах построения счетчиков, но отражается на схемных решениях.

Состояния разрядов счетчика, построенного на асинхронных триггерах, являются устойчивыми после окончания формирования сигналов переноса во всех разрядах. Введение же синхронизации, то есть построение цифровых счетчиков на синхронных триггерах, дает возможность производить одновременный опрос состояний всех разрядов. В ряде случаев это обстоятельство оказывается существенным и должно учитываться при разработке цифровых систем.

Во многих случаях необходимо иметь счетчик с числом устойчивых состояний К < 2N, где N - число разрядов счетчика. Построение счетчиков с коэффициентом пересчета К < 2Nдостигается за счет исключения избыточных состояний. Избыточные состояния счетчика обычно исключаются введением обратных связей. Эти связи могут быть введены в счетчик различным образом.

Рассмотрим основные способы построения двоичных счетчиков.

 

Счетчики на т–триггерах с последовательным переносом

В основе построения счетчиков данного типа используется свойство прямого счета. Для суммирующих счетчиков действует следующее правило:

i+1 разряд переходит в новое состояние (0 или 1), если разряд i переходит из состояния 1 в состояние 0. Для вычитающих счетчиков разряд i переходит из состояния 0 в состояние 1. При этом необходимо учитывать типы применяемых, которые срабатывают по переднему или заднему фронту.

Пример 3-х разрядного суммирующего счетчика, срабатывающего по заднему фронту, показан на рис.1.

 

Рис.1. Схема суммирующего счетчика и временная диаграмма

Прямой выход (Q - выход) каждого разряда счетчика соединен с Т-входом триггера соседнего, более старшего, разряда. В данном счетчике переключение триггеров отдельных разрядов в процессе счета происходит последовательно разряд за разрядом. По этой причине такой счетчик и называется счетчиком с последовательным переносом. Максимальная скорость работы счетчика определяется максимальной частотой переключения младшего разряда. Поскольку современные Т-триггеры - это двухступенчатые схемы, период следования входных импульсов Тп³ tи+ Ntпт, где tи- длительность входных счетных импульсов; tпт- время переключения второй ступени триггера; N - число разрядов счетчика.

На рис.2 показан вычитающий счетчик.

 

Рис.2. Схема вычитающего счетчика

 

Один из способов введения обратных связей для получения числа состояний счетчика К < 2Nзаключается в обнаружении на выходах разрядов соответствующей кодовой комбинации и последующем сбросе разрядов счетчика в исходное состояние. В этом случае счет всегда начинается с исходного состояния, поэтому состояния разрядов счетчика в двоичном коде соответствуют числу поданных на вход импульсов. Как стоятся такие счетчики рассмотрим позже.

Применив логические элементы (например, типа И-ИЛИ-НЕ), на Т-входах триггеров можно построить реверсивный счетчик. Такой счетчик может осуществлять как прямой, так и обратный счет (рис.3).

 

 

Рис.3. Разряд реверсивного счетчика

 

 

Двоичные счетчики с

параллельным и сквозным переносом

 

Во многих важных для практики случаях быстродействие асинхронных счетчиков с последовательным переносом оказывается недостаточным. Для ускорения процесса счета необходимо, чтобы изменение состояний отдельных разрядов происходило сразу после прихода очередного счетного импульса в нескольких разрядах. Найдем логические уравнения состояний отдельных разрядов трехразрядного счетчика, учитывающие состояния более младших разрядов. Для этого построим таблицу истинности для случая применения Т-триггеров в режиме суммирования (табл.1), а затем с использованием диаграмм Вейча-Карно определим функции возбуждения входов триггеров.

 

Таблица 1

 

t t+1 входы
Q1 Q2 Q3 Q3 Q2 Q1 T3 T2 T1
                 
                 
                 
                 
                 
                 
                 
                 

 

Из диаграмм получим:

(1)

 

или

 

(2)

 

Формулы 1 и 2 для n-го триггера имеют вид

 

- (3)

определяет построение схемы для параллельногопереноса с учетом Т2 и Т1,

 

- (4)

 

определяет построение схемы для сквозного переноса с учетом Т2 и Т1.

 

Для вычитающего счетчика формулы имеют вид

 

(5)

 

Если ввести сигналы управления Yпр и Yобр, то получим формулы для реверсивных счетчиков параллельного и сквозного переносов

 
 

 

Рассмотрим построение счетчиков с параллельным переносом. Счетчик функционирует согласно выражению (3) (рис.4).

 

Рис.4. Счетчик с параллельным переносом

 

Число входов в схемах одновременного переноса (логических элементах И) равно номеру разряда счетчика, для которого этот перенос формируется. Сигнал переноса в каждый разряд счетчика проходит только через один логический элемент И, поэтому данный счетчик является самым быстродействующим из рассмотренных. Минимальный период следования счетных импульсов Тпп в данной схеме устанавливается из условия Тпп ³ tп+ tпп+

+ tпт, где tпп - время задержки срабатывания схемы параллельного переноса (логического элемента И). В случае, если tпп << tпт, быстродействие счетчика определяется лишь временем переключения Т-триггера. Разрядность счетчика с параллельным переносом ограничивается коэффициентом объединения по входу логического элемента И. Для построения многоразрядного счетчика по этому принципу требуется большое количество логических элементов (для реализации многовходовой логической операции И), что существенно усложняет схему и снизит быстродействие.

Двоичный счетчик, построенный согласно выражению (4) будет счетчиком со сквозным переносом.(рис.5)

Асинхронный счетчик со сквозным переносом позволяет установить следующий период следования Тспсчетных импульсов Т0:

Тсп³ tп + (N-1)tсп + tпт, где N - число разрядов счетчика; tп- длительность счетных импульсов; tсп - время задержки в схеме сквозного переноса (в логическом элементе И); tпт- время переключения триггера. Время задержки в схеме сквозного переноса обычно меньше времени срабатывания триггера, поэтому счетчик со сквозным переносом дает выигрыш в быстродействии по сравнению со счетчиком с последовательным переносом.

 

Рис.5. Счетчик со сквозным переносом

 

Из рис.5 видно, что третий триггер срабатывает с запаздыванием tз=2×

×tсп + tпт относительно спада четвертого счетного импульса. Сигнал переноса в каждый разряд проходит только через одну схему сквозного переноса (логический элемент И). Все элементы И - двухвходовые. В случае, если во всех разрядах счетчика записаны единицы, то сигнал переноса должен последовательно пройти через все ячейки И. Это обстоятельство ограничивает быстродействие счетчика.

Аналогично строятся двоичные счетчики на синхронных триггерах. На рис.6 представлена структура трехразрядного счетчика со сквозным переносом на универсальных J-K - триггерах. Для организации переноса в этом случае требуется на один элемент И меньше, чем при реализации на асинхронных триггерах.

 

Рис.6. Счетчик со сквозным переносом

 

В тех случаях, когда ограничения на коэффициент разветвления по выходу и коэффициент объединения по входу для логических элементов И не позволяет построить счетчик с требуемым числом разрядов, схему счетчика следует разбить на группы. Перенос между группами можно организовать по-разному. Например, при последовательном переносе между группами выход старшего разряда данной группы триггеров соединяется со входом младшего разряда более старшей группы и т.д. Для ускорения прохождения сигнала переноса между группами можно организовать параллельно-последовательный перенос. В каждой группе с помощью логического элемента И вырабатывается сигнал формирования переноса в группе Тi гр =

= Т0 i. Qn.. Qn+1..... QN, где i - номер группы триггеров; n - младший разряд в группе; N - старший разряд в группе триггеров. Структура 9-разрядного счетчика при параллельно-последовательном переносе между группами триггеров показана на рис.7.

 

Рис.7. Параллельное соединение счетчиков

 

 

Пересчетные схемы

 

Рассмотрим построение цифровых счетчиков с заданным коэффициентом пересчета К < 2N.

На рис.8 представлен асинхронный счетчик для К = 7, основанный на обнулении разрядов после прихода К счетных импульсов. После прихода седьмого счетного импульса в счетчик запишется двоичное число К = 110(2) = 6(10). Поэтому для сброса счетчика сигнал обратной связи подается на вход установки нуля первого и второго старших разрядов. Для стабильности работы схемы при колебаниях температуры на двух логических элементах И-НЕ собрана линия задержки (на рис.8 отмечена пунктиром). Аналогично строятся счетчики для других коэффициентов пересчета.

Рис.8. Пересчетная схема К = 7

 

Разновидностью рассмотренного варианта построения счетчиков с К < < 2Nявляется счетчик, в котором после поступления 2N-1входных импульсов производится запись в соответствующие разряды единиц, определяемых кодом дополнения D = 2N- К. Счет в таких счетчиках всегда начинается с нуля, но не все состояния счетчика соответствуют в двоичном коде числу подсчитанных импульсов. После прихода на вход счетчика 2N-1импульсов сигнал обратной связи поступает на вход S триггеров тех разрядов счетчика, для которых двоичный код числа D = 2N-1- К имеет единичные значения. Тем самым исключаются D избыточных состояний. В результате этого в счетчик запишется число 2N-1+ D. После прихода еще 2N-1- D счетных импульсов счетчик вернется в исходное состояние, подсчитав К импульсов (рис.9). Код дополнения D в данном случае равен двум (010). Поэтому сигнал обратной связи с выхода Q2 через формирователь коротких импульсов (обведен пунктиром) подается на вход S триггера первого разряда счетчика. После прихода четырех импульсов сигнал обратной связи произведет установку в единицу триггера второго разряда счетчика. В счетчике будет записано число шесть (110). Поступление еще двух счетных импульсов вызовет возврат счетчика в исходное состояние (нулевое).

 

Рис.9. Пересчетная схема с занесением D

 

Другой способ введения обратных связей состоит в том, что после сброса разрядов счетчика в нуль выходной сигнал, снимаемый с последнего триггера, записывает в счетчик число, с которого начинается счет в очередном цикле работы. Для построения счетчикаскоэффициентом пересчета К = = 2N- M выходной сигнал должен записать в разряды счетчика код числа М. При таком способе последнее состояние счетчика является неустойчивым, поскольку при переходе в это состояние формируется сигнал записи в счетчик кода числа М. Его длительность определяется временем задержки в цепях обратной связи

В схеме (рис.10) при переходе счетчика из состояния 111 в состояние 000 на выходе Q2 возникает перепад напряжения, за счет которого на выходе формирователя импульсов (ФИ) появляется короткий импульс, устанавливающий два младших разряда в состояние 1 по установочному входу S. Отметим, что запись необходимой кодовой комбинации в разряды счетчика может быть произведена подачей сигнала и на счетные входы триггеров, а не на установочные (S). Следует иметь в виду, что состояние разрядов таких счетчиков не соответствует числу входных импульсов, записанных в двоичном коде.

Рис.10. Схема счетчика с установкой исходного состояния

Параллельное соединение цифровых счетчиков также дает возможность получить счетчик с коэффициентом пересчета К < 2N. Кроме того, такие счетчики обладают более высоким быстродействием, чем счетчики с последовательным переносом. В данном случае входные импульсы подаются одновременно на два или более счетчиков. Выходы счетчиков подключены к логическому элементу И (рис.11). Сигнал на выходе элемента И появляется при совпадении импульсов, поступающих с выходов счетчиков. Это происходит тогда, когда число входных импульсов станет равным коэффициенту пересчета К (наименьшему общему кратному коэффициентов пересчета счетчиков, входящих в состав рассматриваемого). Для получения, например, общего коэффициента пересчета К = 21 можно использовать два параллельно включенных счетчика с К1= 7 и К2= 3. Выходные сигналы одного и другого счетчиков совпадают только после прихода 21 входного импульса. Задержка выходного сигнала параллельного счетчика относительно меньше, чем в счетчике с последовательным переносом. Вместе с тем, аппаратные затраты примерно одинаковы. Параллельное соединение счетчиков целесообразно использовать для построения счетчиков импульсов и делителей частоты в случаях, когда коэффициент пересчета является произведением двух и более простых чисел.

Рис.11. Параллельное соединение счетчиков

 

В ряде случаев возникает необходимость построения счетчиков с К <

< 2N, работающих в произвольном коде (с произвольным порядком счета). Для построения подобного счетчика необходимо составить таблицу переходов счетчика из одного состояния в другое в соответствии с заданными кодами состояний и коэффициентом пересчета К. По таблице переходов определяются требуемые значения сигналов на информационных входах триггеров всех разрядов. Далее находятся логические выражения для этих сигналов как функции состояний триггеров разрядов счетчика. После минимизации логических функций (например, с помощью карт Вейча- Карно) строится схема счетчика на имеющейся в распоряжении элементной базе. Такие счетчики могут строиться на двухступенчатых триггерах любого типа и использоваться для построения формирователей различных кодовых последовательностей.

 

Счетчики на регистрах сдвига

 

Регистр сдвига, замкнутый в кольцевую схему (рис.12), также может быть использован в качестве цифрового счетчика. В кольцевом регистре сдвига обычно продвигается одна единица, которая при поступлении очередного входного импульса переписывается в следующий разряд регистра. После того, как единица достигнет последнего триггера, с приходом следующего продвигающего импульса она перепишется в первый триггер и цикл счета повторится. В этом счетчике количество подсчитанных импульсов равно числу разрядов регистра и определяется положением логической единицы в данный момент времени. Поэтому такие счетчики требуют существенно больших аппаратных затрат, чем счетчики на Т-триггерах. Однако для таких счетчиков не требуется дешифратор для перевода состояний в унарный код. Кроме того, в кольцевых счетчиках отсутствует последовательное распределение переноса. По этой причине задержка выходного сигнала счетчика относительно входного определяется временем переключения триггера одного разряда. При большом значении коэффициента пересчета К построение счетчика по этой схеме нецелесообразно. Перед началом работы счетчик должен быть установлен в исходное состояние по входам S и R соответствующих триггеров.

 

Рис.12. Счетчик на RG сдвига

 

Для уменьшения числа триггеров кольцевые счетчики можно соединить последовательно. В этом случае выходной сигнал первого счетчика является продвигающим для последующего. Суммарный коэффициент пересчета такого комбинированного счетчика (число состояний) при последовательном соединении двух кольцевых счетчиков К = М. L, где М и L - число триггеров в первом и втором счетчике соответственно (рис.13).

 

Рис.13. Последовательное соединение кольцевых счетчиков

 

Число триггеров в рассматриваемом счетчике будет минимальным, если M = L или имеет близкие значения. Следует отметить, что при последовательном соединении счетчиков возрастает задержка в формировании выходного сигнала. При последовательном соединении счетчиков также требуется их начальная установка.

Введение в кольцевой счетчик на регистрах сдвига логических обратных связей дает возможность получить почти такой же коэффициент пересчета, как и на Т-триггерах при равном количестве триггерных схем. В этом счетчике могут быть получены состояния от 1 до 2N- 1. Отсутствует только нулевое состояние.

Для создания логической обратной связи обычно используется сумматор по модулю два. Работа кольцевого счетчика с логической обратной связью при К = 7 (рис.14) описывается таблицей функционирования (табл.2).

 

Таблица 2

Кодирование состояний кольцевого счетчика

Состояние счетчика Q2 Q1 Q0 Выходной сигнал сумматора
         
         
         
         
         
         
         

 

Сигналы обратной связи на сумматор поступают с выходов Q1и Q2. Перед началом работы в счетчик записывается код 001. С приходом второго продвигающего импульса с выхода Q1на один из входов сумматора поступает логическая единица, а на другой вход - логический нуль с выхода Q2. На выходе сумматора формируется логическая единица, которая в следующем такте устанавливает первый разряд счетчика в единицу. Аналогично формируются остальные кодовые комбинации. Всего появляются 7 комбинаций, причем комбинация 000 отсутствует. В зависимости от места подключения сумматора можно получить либо всю последовательность комбинаций (2N

– 1), либо их часть. Однако с помощью различного подключения сумматора нельзя получить все возможные коэффициенты пересчета. Для исключения ряда состояний можно воспользоваться предыдущими рекомендациями. После обнаружения некоторой кодовой комбинации необходимо установить в регистре начальное состояние. Последовательность кодов, формируемых данным счетчиком, при достаточно большом числе разрядов является псевдослучайной последовательностью чисел. По этой причине счетчики на регистрах с логическими обратными связями часто используются для генерации псевдослучайных последовательностей.

Рис.14. Функциональная схема счетчика К = 7

 

Отметим, что при разработке логических схем счетчиков согласно индивидуальному заданию, следует использовать только ту элементную базу, которая имеется и указана в методических указаниях к выполнению лабораторных работ.

 

2. ПОРЯДОК ВЫПОЛНЕНИЯ РАБОТЫ

 

Выполнение работы состоит в проверке с помощью МicroСap-9 функциональных возможностей счетчика, синтезированного в соответствии с индивидуальным заданием. Правильность работы определяется временным диаграммам.

 

3. ЗАДАНИЕ К ЛАБОРАТОРНОЙ РАБОТЕ

 

Индивидуальные задания приведены в табл. 3.

В последнем столбце указаны элементы памяти, которые надо использовать при построении счетчиков.

 

Таблица 3

Варианты индивидуальных заданий

№ варианта Коэффициент пересчета                           Тип триггера
    + -- - + - - + - + - - - - D
    - + - - + + - - + - - - - D
    + - - + - - - + + - + + - D
    - + + - - + - - - + - - - J - K
    + - - - + + - - + - - - - D
    - + - - + - + - + - - - - D
    + - - - + - + + + - + - + D
    + - - + - - - + + - + + - D
    - + + - - + - - + - - - - D
    + - + - - - - + - + - - - J - K
    + - - - + - - + + - + - + D
    + - - - + - - + + - - - - D
    - + - + - - - + + - + - + D
    + - - - + - - + + - + - + D
    + - - + - - - + + - + + - D
    + - - + - - - + + - - - - D
    + - + - - + - - + - - - - D
    - + - + - - + - + - - - - D
    + - + - - + - - + - - - - D
    + - - - + - + - + - - - - D
    + - - + - - - + + - + + - J - K
    + - - + - - - + + - + - + D
    - + + - - + - - - + - - - J - K
    + - + - - + - - + - - - - D
    - + - + - + - - + - - - - D
    + - + - - + - - - + + - + J - K
    - + + - - + - - - + - - - D

 

В таблице числами обозначены следующие характеристики синтезируемого счетчика:

1 - заданный коэффициент пересчета К обеспечивается обнулением счетчика по кодовой комбинации, соответствующей К;

2 - заданный коэффициент пересчета К обеспечивается записью в соответствующие разряды счетчика кода дополнения D;

3 - реверсивный счетчик;

4 - суммирующий счетчик;

5 - вычитающий счетчик;

6 - счетчик с последовательным переносом;

7 - счетчик со сквозным переносом;

8 - счетчик с параллельным переносом;

9 - асинхронный счетчик;

10 - синхронный счетчик;

11 - счетчик, разбитый на две группы;

12 - счетчик с последовательным переносом между группами;

13 - счетчик с параллельно-последовательным переносом между группами.

 

5. СОДЕРЖАНИЕ ОТЧЕТА

 

1. Индивидуальное задание на синтез счетчика.

2. Таблица функционирования счетчика.

3. Логические выражения, описывающие работу счетчика.

4. Расчетные соотношения, определяющие параметры счетчика (число разрядов, коэффициент пересчета К, код дополнения D и т.д.).

5. Схема счетчика с цепями установки в исходное состояние и временные диаграммы работы.

 

6. КОНТРОЛЬНЫЕ ВОПРОСЫ

 

1. Какое минимальное количество триггеров необходимо для построения двоичного счетчика с коэффициентом пересчета К = 931?

2. Определите состояние счетчика, использующего для формирования коэффициента пересчета К = 71 запись кода дополнения D, после поступления 196 импульсов.

3. Определите общее количество логических элементов И-НЕ, необходимое для организации параллельного переноса в шестиразрядном асинхронном счетчике.

4. Какое состояние установится в вычитающем двоичном счетчике с коэффициентом пересчета К = 69 после поступления на вход 105 импульсов?

5. Каков выигрыш в быстродействии восьмиразрядного счетчика со сквозным переносом по сравнению с восьмиразрядным счетчиком с последовательным переносом?

 

БИБЛИОГРАФИЧЕСКИЙ СПИСОК

 

1. Угрюмов Е.П. Проектирование элементов и узлов ЦВМ. М.: Высшая школа, 2004.

 

Лабораторная работа № 8

Поделиться:





Читайте также:





Воспользуйтесь поиском по сайту:



©2015 - 2024 megalektsii.ru Все авторские права принадлежат авторам лекционных материалов. Обратная связь с нами...