Главная | Обратная связь | Поможем написать вашу работу!
МегаЛекции

Структура иерархического проекта




Содержание

 

  Аннотация……………………………………………………………………… Введение………………………………………………………………………..   1. Иерархическое проектирование в САПР «MAX+PLUSII»………..…… 1.1. Прототип модуля ……………………………………….…………….. 1.2. Структура иерархического проекта ……………………………...….. 1.3. Иерархическое описание накапливающего сумматора………..…… 1.4. Пользовательские библиотеки элементов MAX+PLUSII…………..   2. Проектирование узлов двоично-десятичной арифметики……………….. 2.1. Двоично-десятичное представление чисел….………………………. 2.2. Двоично-десятичные сумматоры…..………………………………… 2.4. Двоично-десятичные вычитатели…………………..……………….. 2.4. Двоично-десятичные счетчики…………..…………..………………..   3. Проектирование устройств на базе абстрактных автоматов…….……… 3.1. Способы задания абстрактных автоматов ………….……………….. 3.2. Описание работы автоматов на языке AHDL…………………….…. 3.3. Проектирование счетчиков на базе абстрактных автоматов…….….   4. Проектирование типовых схемотехнических узлов….………….……….. 4.1. Матричные дешифраторы клавиатуры………………………………. 4.2. Схемы вычисления функций…………………………………………. 4.3. Счетчики расхода, пробега..……………….…….………………….... 4.4. Узлы обработки сигналов локаторов….….………………….………. 4.5. Счетчики времени, календари…..…………………………….……… 4.6. Частотомеры……………………………..……….…………………… 4.7. Умножители……….………..………...……………..…………………   5. Проектирование схем с магистральным вводом-выводом данных...….... 5.1. Правила работы с магистралью, арбитр…..………………….………. 5.1. Подготовка временных диаграмм…….……………………….…….... 5.2. Пример сумматора с магистральным вводом-выводом данных….…   Литература……………………………………………………………………...                

 


 

Аннотация

 

Данное пособие содержит краткое описание методик и типовых схем для выполнения курсовых работ по «Проектирование на ПЛИС» на базе САПР MAX+PLUSII, предназначенная для проектирования ПЛИС фирмы «Altera». Это пособие будет полезным для получения более глубоких знаний по курсу.


Введение

 

Программируемые логические интегральные схемы (ПЛИС), представляют собой очень удобную элементную базу для разработки электронной аппаратуры. ПЛИС представляют собой массив вентилей, у которых программируются связи и функции, причем все процедуры по настройке структуры ПЛИС можно выполнить непосредственно на столе разработчика. По своим возможностям, по выполняемым функциям ПЛИС практически не чем не отличаются от обычных, специализированных БИС. Однако, в отличие от ПЛИС, изготовление специализированной БИС нужно заказывать на электронной фабрике, что стоит достаточно дорого.

Поэтому, несмотря на то, что ПЛИС уступают заказным схемам по быстродействию в 3¸5 раз, а по расходу кремния в 7¸10 раз, они очень популярны среди разработчиков аппаратуры, поскольку позволяют в несколько раз сократить сроки разработки аппаратуры, улучшить качество её отладки.

Очень популярны ПЛИС в России. Это объясняется тем, что Российские разработчики аппаратуры практически не имеют возможности заказывать изготовление специализированных БИС за рубежом. Уровень же отечественных предприятий, выпускающих микросхем очень сильно отстаёт от мирового. В такой ситуации ПЛИС являются той самой «палочкой-выручалочкой», позволяющей Российским разработчикам создавать современную аппаратуру. По этой причине практически все специализированные узлы аппаратуры систем связи, обработки сложной графической информации, специализированных вычислителей разрабатываются в России исключительно на базе ПЛИС.

В России наиболее популярны ПЛИС фирм Altera и Xilins С помощью этих микросхем можно достаточно быстро выполнять разработку цифровой аппаратуры самого различного спектра. Наиболее доступными являются все-таки ПЛИС фирмы Altera. Фирма Altera проводит достаточно демократическую политику на Российском рынке. Имеется небольшая, но достаточно эффективная дилерская сеть. Заинтересованным пользователям Фирма Altera предлагает бесплатные средства проектирования – САПР MAX+PLUSII (полная версия) и САПР QUARTUS (начальная редакция). С помощью этих бесплатных средств можно разрабатывать достаточно серьёзные проекты БИС. Если учесть, что ПЛИС фирмы более дешевые и доступные, то становиться понятным, что большинство разработок в России используют ПЛИС Altera.

Фирма Altera предоставляет широкий набор различных ПЛИС для применения в аппаратуре различного назначения. Эти ПЛИС можно разбить на 3 основные группы (классические PLD, ПЛИС архитектуры MAX и ПЛИС архитектуры FLEX).

Классические PLD представляют собой ПЛИС небольшого объёма, выполненные на основе программируемый логических матриц (ПЛМ). Имеют степень интеграции несколько сотен вентилей и используются для разработки БИС, реализующих простые функции.

ПЛИС архитектуры МАХ являются более сложными микросхемами. Они состоят из совокупности несколько PLD, объединённых матрицей коммутации. По степени интеграции ПЛИС архитектуры МАХ могут достигать 20000 вентилей. Они применяются для разработке аппаратуры средней сложности.

ПЛИС архитектуры FLEХ являются самыми сложными микросхемами, построенными на базе системы программируемых логических блоков. По степени интеграции ПЛИС архитектуры FLEХ достигают объёма в несколько миллионов вентилей. На их основе возможно создавать даже программируемые системы на кристалле (ПСНК).

С учетом вышесказанного, курс «проектирование на ПЛИС» ориентирован на изучение проектирования ПЛИС применительно к средствам проектирования ПЛИС Altera. Базовой системой проектирования выбрана доступная САПР ПЛИС – «MAX+PLUSII».


 

1. Иерархическое проектирование в «MAX+PLUSII»

 

При проектировании сложных электронных узлов обычно применяется иерархический подход к представлению проектов, при котором вся схема разбивается на отдельные фрагменты – модули. Такой подход имеет ряд неоспоримых преимуществ по сравнению с вариантом, когда схема представляется одним монолитным куском. В частности, при иерархическом проектировании появляется возможность:

· вести разработку аппаратуры пофрагментно, с распараллеливанием объёма работ между различными исполнителями;

· повысить качество отладки проекта за счет перекрестного моделирования модулей;

· многократно использовать одинаковые модули и тем самым резко сократить объём вновь разрабатываемой аппаратуры;

· повысить наглядность представления проектов.

 

Аппарат иерархического проектирования позволяет организовать работу со стандартными библиотеками элементов, что имеет очень большое значение для эффективного проектирования электронных устройств.

Рассмотрим пример иерархического проекта БИС, приведенный На рис 1.1.

 

 
 

 

 


Рис.1.1. Пример иерархического проекта БИС.

 


Как видно из Рис 1.1. представленный проект имеет четыре уровня иерархии. Он включает в себя 6 типов различных модулей (A,B,C,D,E,F) при общем количестве копий равным тринадцати, причем модуль С встречается 7 раз.

Важнейшим свойством иерархического проектирования является возможность организации работ по проектированию БИС с использование заранее отработанных типовых библиотек. Подготовка стандартных библиотек является достаточно сложной и ответственной задачей. Дело в том, что библиотечные модули могут работать в составе самой различной аппаратуры, их могут применять разработчики не высокой квалификации. Поэтому при подготовке библиотечных элементов общего пользования приходится самое серьезное внимание уделять надёжности схемотехнических решений. В проектах совершенно необходима «защита от дурака». В параметризуемых модулях необходимо всегда проверять корректность входных аргументов.

 

 

Прототип модуля

Язык AHDL позволяет при описании модуля использовать в качестве его компонентов созданные ранее модули. Для этого текстовое описание модуля верхнего уровня иерархии должно содержать описание прототипов этих модулей.

Прототип задается с помощью оператора Function Prototype Statement, который может быть расположен либо непосредственно в текстовом описании, либо в файле включения (Include File) содержимое которого подсоединяется к текстовому описанию на этапе компиляции.

Файл включения (Include File) с описанием прототипа модуля создается с помощью команды Create Default Include File (меню File) выполняемой в окне текстового редактора пакета MAX+PLUSII и содержащего описание модуля.

 

В языке AHDL определены два способа обращения к прототипу модуля:

· непосредственное обращение (In_Line Reference);

· присвоение прототипу символического имени, т.е. объявление его переменной, и обращение к нему как к переменной.

 

Отметим, что указанные способы обращения к прототипу совпадают со способами обращения к примитиву.


Структура иерархического проекта

 

Язык позволяет создавать иерархическое описание модуля, в котором выделяются:

 

· модуль нижнего уровня (либо нескольких нижних уровней);

· модуль верхнего уровня иерархии.

 

В языке AHDL определены два типа модулей:

 

· параметризованные(Parameterized);

· непараметризованные (Unparameterized).

 

 

Поделиться:





Воспользуйтесь поиском по сайту:



©2015 - 2024 megalektsii.ru Все авторские права принадлежат авторам лекционных материалов. Обратная связь с нами...